Notice: Undefined index: linkPowrot in C:\wwwroot\wwwroot\publikacje\publikacje.php on line 1275
Publikacje
Pomoc (F2)
[35522] Artykuł:

Kosynteza systemów wbudowanych o architekturze sieci jednoukładowych

(Co-synthesis of Network-on-Chip embedded systems)
Czasopismo: Czasopismo Techniczne   Zeszyt: 1-I, Strony: 3-20
ISSN:  0011-4561
Opublikowano: 2011
 
  Autorzy / Redaktorzy / Twórcy
Imię i nazwisko Wydział Katedra Procent
udziału
Liczba
punktów
Roman Stanisław Deniziak orcid logoWEAiIKatedra Informatyki *****502.50  
Robert TomaszewskiWEAiIKatedra Informatyki *****502.50  

Grupa MNiSW:  Publikacja w recenzowanym czasopiśmie wymienionym w wykazie ministra MNiSzW (część B)
Punkty MNiSW: 5


Web of Science LogoYADDA/CEON    
Słowa kluczowe:

komunikacja bezkolizyjna  kosynteza  sieci jednoukładowe  wieloprocesorowe systemy wbudowane 


Keywords:

co-synthesis  contention-free communication  multiprocessor embedded systems  Network-on-Chip 



Streszczenie:

W artykule przedstawiono metodę kosyntezy systemów wbudowanych, której celem jest znalezienie najtańszej architektury heterogenicznej spełniającej podane ograniczenia czasowe. W odróżnieniu od typowych podejść stosowanych w kosyntezie opisywana w pracy metodologia generuje kompletną strukturę komunikacyjną pomiędzy elementami przetwarzającymi. Realizacja bazuje na architekturze sieci jednoukładowej (ang. Network on Chip, NoC), gdzie topologia i ruting dobierane są w sposób eliminujący ewentualne kolizje między transmisjami. Dzięki temu opracowany sposób tworzenia sieci jednoukładowej zapewnia spełnienie ograniczeń czasowych nałożonych na projektowaną aplikację. Przeprowadzone eksperymenty dowodzą przewagi zaprezentowanego rozwiązania nad typowymi podejściami wykorzystywanymi w metodologiach budowania sieci NoC.




Abstract:

The paper presents an algorithm for embedded systems co-synthesis. The goal of the co-synthesis is to find the most cost-effective heterogenic architecture complying with execution time constraints. Additionally, presented methodology creates communication infrastructure for allocated and mapped processing elements with the use of Network-on-Chip (NoC) architecture. Dedicated topology along with pre-determined routing eliminate any communication contention. Experimental results prove superiority of our solution over state-of-the-art approaches on field of real-time embedded systems co-synthesis and custom NoC generation.



B   I   B   L   I   O   G   R   A   F   I   A
[1] Gupta R.J., De Micheli G., Hardware-Software Co-synthesis for Digital Systems, IEEE Design & Test, Vol. 10, No. 3, September 1993, 29-41.
[2] Henkel J., Ernst R., A Hardware/Software Partitioner using a dynamically determined Granularity, Proc. of Design Automation Conference, 1997, 691-696.
[3] Kalavade A., Lee E.A., The Extended Partitioning Problem: Hardware/Software Mapping and Implementation-Bin Selection, Proc. of 6th International Workshop on Rapid Systems Prototyping, 1995.
[4] Dave B.P., Lakshminarayana G., Jha N.K., COSYN: Hardware-Software Co-Synthesis of Embedded Systems, Proc. of Design Automation Conference, 1997, 703-708.
[5] Dick R.P., Jha N.K., MOGAC: A multiobjective Genetic Algorithm for the Co-Synthesis of Hardware-Software Embedded Systems, Proc. of International Conference on Computer Aided Design, 1997, 522-529.
[6] Eles P., Peng Z., Kuchcinski K., Doboli A., System Level Hardware/Software Partitioning Based on Simulated Annealing and Tabu Search, Design Automation for Embedded Systems, Vol. 2, No. 1, 1997, 5-32.
[7] Eles P., Kuchcinski K., Peng Z., Doboli A., Pop P., Scheduling of Conditional Process Graphs for the Synthesis of Embedded Systems, Proc. of Conference on Design Automation and Test in Europe (DATE'98), 1998, 132-138.
[8] Lee H.G., Chang N., Ogras U.Y., Marculescu R., On-Chip communication architecture exploration: A quantitative evaluation of point-to-point, bus, and network-on-chip approaches, ACM Transactions on Design Automation of Electronic Systems, 12(3), Article 23, 2007.
[9] Bjerregaard T., Mahadevan S., A survey of research and practices of network-on-chip, ACM Computing Surveys, 38(1), 2006, 71-121.
[10] Marculescu R., Ogras U.Y., Peh L.S., Jerger N.E., Hoskote Y., Outstanding research problems in NoC design: System, microarchitecture, and circuit perspectives, IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems, 28(1), 2009, 3-19.
[11] Hu J., Marculescu R., Communication and task scheduling of application-specific Networks-on-Chip, IEEE Proceedings of Computers and Digital Techniques, 2005, 643-651.
[12] Lei T., Kumar S., A two-step genetic algorithm for mapping task graphs to a network on chip architecture, Proceedings of Euromicro Symposium on Digital Systems Design, 2003, 180-187.
[13] Chen Y.-J., Yang C.-L., Chang Y.-S., An architectural co-synthesis algorithm for energy-aware Network-on-Chip design, Journal of Systems Architecture, 55, 2009, 299-309.
[14] Shin D., Kim J., Communication Power Optimization for Network-on-Chip Architectures, Journal of Low Power Electronics, 2(2), 2006, 1-12.
[15] Jang W., Pan D.Z., A3MAP: Architecture-Aware Analytic Mapping for Networks-on-Chip, Asian and South Pacific Design Automation Conference (ASPDAC), 2010, 523-528.
[16] Murali S., et al., Designing application-specific networks on chips with floorplan information, International Conference on Computer Aided Design, 2006, 355-362.
[17] Ho W.H., Pinkston T.M., A design methodology for efficient application-specific on-chip interconnects, IEEE Transactions on Parallel and Distributed Systems, 17(2), 2006, 174-190.
[18] Neeb Ch., Wehn N., Designing efficient irregular networks for heterogeneous systems-on-chip, Journal of Systems Architecture: the EUROMICRO Journal, 54(3-4), 2008, 384-396.
[19] Ogras U.Y., Marculescu R., Application-specific network-on-chip architecture customization via long-range link insertion, International Conference on Computer Aided Design, 2005, 246-253.
[20] Wang D., Matsutani H., Amano H., Koibuchi M., A link removal methodology for Networks-on-Chip on reconfigurable systems, International Conference on Field Programmable Logic and Application, 2008, 269-274.
[21] Chou C.L., Marculescu R., Contention-aware application mapping for Network-on-Chip communication architectures, International Conference on Computer Design, 2008, 164-169.
[22] Leary G., Chatha K.S., Automated technique for design of NoC with minimal communication latency, CODES+ISSS, 2009, 471-480.
[23] Deniziak S, Cost-efficient synthesis of multiprocessor heterogeneous systems, Control and Cybernetics, 33(2), 2004, 341-355.
[24] Deniziak S., Tomaszewski R., Contention-avoiding custom topology generation for network-on-chip, International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2009, 234-237.
[25] Wolf W., High-Performance Embededed Computing, Morgan Kaufman, 2006.
[26] Suzuki K., Sangiovanni-Vincentelli A., Efficient Software Performance Estimation Methods for Hardware/Software Codesign, Proc. of the ACM/IEEE Design Automation Coference, 1996, 605-610.
[27] Sethuraman B., Bhattacharya P., Khan J., Vemuri R., LiPaR: A light-weight parallel router for FPGA-based Networks-on-Chip, In 15th Great Lakes Symposium on VLSI, 2005, 452-457.
[28] Yen T.Y., Wolf W., Sensitivity-Driven Co-Synthesis of Distributed Embedded Systems, Proc. of International Symposium on System Synthesis, 1995, 4-9.
[29] Dick R.P., Rhodes D.L., Wolf W., TGFF: Task graphs for free, International Workshop on Hardware/Software Codesign, 1998, 97-101.